VITA Technologies
  • VME
  • XMC
  • FMC
  • PMC
  • VNX
  • VPX
  • VME
  • XMC
  • FMC
  • PMC
  • VNX
  • VPX
  • Articles
  • White Papers
  • Products
  • News
  • Articles
  • White Papers
  • Products
  • News
  News  Industry News  Aldec Enhances Entire EDA Suite with Key Verification Methodologies
Industry News

Aldec Enhances Entire EDA Suite with Key Verification Methodologies

AldecAldec—February 27, 20090
FacebookX TwitterPinterestLinkedInTumblrRedditVKWhatsAppEmail

HENDERSON, Nevada – June 9th, 2008 — Aldec, Inc., a 24 year old EDA company, moves up-market into high end SoC and ASIC verification, announcing an enhanced EDA verification suite integrating industry-leading technologies across all development platforms; including all RTL software simulators, HDL verification, hardware-assisted verification and specialty solutions development platforms. In addition, Aldec verification suite incorporates advanced and innovative design methodologies, such as ESL, module based design and transaction level modeling and OVM.

System Level Verification

Aldec verification products provide System-level verification solutions utilizing SystemC with its transaction-level models (TLMs) and SystemVerilog verification methodologies

SystemC

Modeling the behavior of the entire system using a high-level language such as C, C++, or MATLAB® is easy, Aldec’s verification suite includes seamless integration of SystemC/C++ debugging with mixed HDL debugging, crucial for Electronic System Level (ESL) designers. This new level of integration enables identical procedures for tracing source code, setting breakpoints, viewing objects, whether in SystemC or HDL.

SystemVerilog

Aldec verification suite supports IEEE 1800TM SystemVerilog, a unified hardware description and verification language. Aldec verification suite supports all three groups of constructs: design, assertions, and verification; most recent improvements were added in strings, classes and DPI areas, with the current and future construct support, interoperable SystemVerilog verification methodologies, including Open Verification Methodology (OVM).

OVM Support

Aldec is developing the support of OVM 1.1 and its robust class library and source code for integration throughout its entire verification suite. OVM is based on IEEE 1800 SystemVerilog standard and is for design and verification engineers developing advanced verification environments that offer higher levels of integration and portability of verification IP.

Assertions and Functional Coverage

Aldec verification products support properties, assertions and covers expressed in SystemVerilog (SVA) and Property Specification Language (PSL). During regular simulation runs users can observe standard messages generated by assert or cover directives. Breakpoints can be set on assertions to pause simulation at critical points; current status of assertions and covers can be observed graphically in the Waveform Viewer and extensive statistical data is available in enhanced Assertion and Cover Viewers. For improved flexibility of design management, assertions can be specified in separate, dedicated files or placed directly in the design code.

Hardware-Assisted Verification

More stories

Klocwork Passes 1000 Customer Milestone With Record First Half Performance

July 10, 2012

Digitaltest Launches the New Versatile QMAN Data Entry Station – First to be seen at electronica 2008 in Munich

October 16, 2008

Nallatech CEO Allan Cantle to Speak at Reconfigurable Systems Summer Institute

July 11, 2005

Kontron Introduces First nanoETXexpress Computer-On-Module for PCI Express-based Credit Card Sized Computing

November 28, 2007

Hardware assisted verification is also available in Aldec’s enhanced verification suite. Transaction Level Modeling (TLM) with SCE-MI 2.0 for high-performance emulation at 10MHz, using off-the-shelf The Dini Group and Synopsys®/Synplicity® HAPS™ boards for large and complex ASIC & SOC designs from 1 Million to 32 Million ASIC gates. Aldec emulation provides automatic conversion from ASIC to FPGA, simple design setup and multi-chip partitioning, automatic design compilation. Transaction Level Modeling (TLM), which is based on the SCE-MI 2.0 standard from Accellera allows for more productive test development with higher levels of abstraction, emulating C++/SystemC transaction level testbenches in high-performance in-hardware speeds. Aldec automates the insertion of SCE-MI transactor into the user’s design and provide SCE-MI API functions to interface to the transactor from the software side. Aldec hardware can automatically download into an FPGA board, a Electronic System Level (ESL) design that includes processors and memories. These processors and memories can be exercised at system level clock speeds of up to 10MHz, engineers can run real RTL in real hardware, at close to speed, and perform all the test cases needed.

About Aldec Verification Product Suite

Active-HDL™

Active-HDL is a graphical design entry and mixed language simulator for a complete FPGA verification solution. A multi-vendor Design Flow Manager controls simulation, synthesis and implementation for all devices from Actel®, Altera®, Lattice®, Quicklogic®, Xilinx® and other FPGA vendors. Co-simulation interface to MATLAB® and Simulink® facilitates designs with DSP. HDL Language Support: VHDL, Verilog®, EDIF, SystemC and SystemVerilog Operating System Support: Windows® XP and Vista 32/64 bit support

Riviera-PRO™

Riviera-PRO is a common-kernel, mixed language, multi-platform ASIC simulator. Riviera-PRO works in command line mode for maximum speed or in state-of-the-art GUI for enhanced editing, tracing, and debugging capabilities, including code coverage and linting. Riviera-PRO is compatible with industry standards and interfaces with popular EDA products such as Synopsys® SmartModels™, Novas™, Denali®, MATLAB®, and Simulink®. HDL Language Support: VHDL, Verilog®, EDIF, SystemC, SystemVerilog, SVA and PSL Operating System Support: Windows® XP and Vista 32/64 bit, Linux® 32/64 bit and Sun® Solaris

Hes™

HES (Hardware Emulation System) includes Transaction Level Modeling (TLM) with SCI-ME 2.0 for high-performance emulation at 10MHz, using off-the-shelf The Dini Group and Synopsys®/Synplicity® HAPS™ boards for large complex ASIC & SOC designs from 1 Million to 32 Million ASIC gates. It accelerates leading simulators like Riviera-PRO, VCS®, NC-Sim®, ModelSim® and Active-HDL by 10x or more, with up to 1MHz. HDL Language Support: VHDL, Verilog®, EDIF and SystemC Operating System Support: Windows® XP and Vista 32/64 bit and Linux® 32/64 bit

About Aldec

Aldec Corporation is a industry-leader in electronic design and offers a patented technology suite including: design entry, HDL simulators, co-simulation, design rule checking, hardware-assisted verification, co-verification, IP Cores, DO-254 compliance tool sets and engineering specialty solutions. Established in 1984, Aldec is a privately held company continuous revenue growth and employs approximately 200 people worldwide. Corporate headquarters are located at 2260 Corporate Circle, Henderson, Nevada 89074. World Wide Web site: www.aldec.com

Active-HDL, Riviera-PRO, HES and Aldec are trademarks of Aldec, Inc. All other trademarks or registered trademarks are property of their respective owners.

Contact: Lori Nguyen

Director of Marketing

Aldec, Inc.

(702) 990-4400, ext.254

[email protected]

FacebookX TwitterPinterestLinkedInTumblrRedditVKWhatsAppEmail
Extremely Reliable, Long-Distance CF RFID Card from GAO
GBIC Optical Transceiver Series for Serial Optical Data Communication Applications
Related posts
  • Related posts
  • More from author
Articles

VITA Hall of Fame

December 1, 20250
Articles

Designing with QMC

December 1, 20250
Articles

VITA standards activity updates

December 1, 20250
Load more
Read also
Articles

VITA Hall of Fame

December 1, 20250
Articles

Designing with QMC

December 1, 20250
Articles

VITA standards activity updates

December 1, 20250
Articles

Leveraging AI in standards

December 1, 20250
SOSA Aligned Chassis Management from Development to Deployment
Eletter Products

SPONSORED: VPX Chassis Management – US Made, Open Source In-field Code Upgrades

November 25, 20250
Eletter Products

SPONSORED: Advanced SBC powered by NVIDIA® Jetson AGX Orin™ Industrial

November 24, 20250
Load more

Recent Comments

No comments to show.
  • Articles
  • White Papers
  • Products
  • News
  • Articles
  • White Papers
  • Products
  • News
  • VME
  • XMC
  • FMC
  • PMC
  • VNX
  • VPX
  • VME
  • XMC
  • FMC
  • PMC
  • VNX
  • VPX

© 2023 VITA Technologies. All rights Reserved.